Техпроцесс 14 нм или 28 нм что лучше: о чем говорит технологический процесс процессора

Содержание

Что такое технологический процесс процессора и на что он влияет

Все современные вычислительные технологии базируются на основе полупроводниковой электронной техники. Для ее производства используются кристаллы кремния – одного из самых распространенных минералов в составе нашей планеты. С момента ухода в прошлое громоздких ламповых систем и с развитием транзисторных технологий этот материал занял важное место в производстве вычислительной техники.

Центральные и графические процессоры, чипы памяти, различные контроллеры – все это производится на основе кремниевых кристаллов. Уже полвека основной принцип не меняется, совершенствуются только технологии создания чипов. Они становятся более тонкими и миниатюрными, энергоэффективными и производительными. Главным параметром, который при этом усовершенствуется, является техпроцесс.

Что такое техпроцесс

Практически все современные чипы состоят из кристаллов кремния, которые обрабатываются методом литографии, с целью формирования отдельных транзисторов. Транзистор – ключевой элемент любой интегральной микросхемы. В зависимости от состояния электрического поля, он может передавать значение, эквивалентное логической единице (пропускает ток) или нулю (выступает изолятором). В чипах памяти с помощью комбинаций нулей и единиц (положений транзистора) записываются данные, а в процессорах – при переключении производятся вычисления.

В 14-нм технологии (по сравнению с 22 нм) сокращено количество барьеров, увеличена их высота, уменьшено расстояние между диэлектрическими ребрами

В 14-нм технологии (по сравнению с 22-нм) сокращено количество барьеров, увеличена их высота, уменьшено расстояние между диэлектрическими ребрами

Технологический процесс – это процедура и порядок изготовления какой-либо продукции. В электронной промышленности, в общепринятом значении, это величина, которая указывает на разрешающую способность оборудования, применяемого при производстве чипов. От нее также напрямую зависит размер функциональных элементов, получаемых после обработки кремния (то есть, транзисторов). Чем чувствительнее и точнее оборудование используется для обработки кристаллов под заготовки процессоров – тем тоньше будет техпроцесс.

Что значит числовая величина техпроцесса

В современном полупроводниковом производстве наиболее распространена фотолитография – вытравливание элементов на кристалле, покрытом диэлектрической пленкой, с помощью воздействия света. Именно разрешающая способность оптического оборудования, излучающего свет для вытравливания, и является техпроцессом в общепринятом толковании этого слова. Это число указывает, насколько тонким может быть элемент на кристалле.

Фотолитография – вытравливание элементов на кристалле

Фотолитография – вытравливание элементов на кристалле

На что влияет техпроцесс

Техпроцесс напрямую сказывается на количестве активных элементов полупроводниковой микросхемы. Чем тоньше техпроцесс – тем больше транзисторов поместится на определенной площади кристалла. В первую очередь это значит увеличение количества продукции из одной заготовки. Во вторую – снижение потребления энергии: чем тоньше транзистор – тем меньше он расходует энергии. Как итог, при равном количестве и структуре размещения транзисторов (а значит, и увеличения производительности) процессор будет меньше расходовать энергию.

Минусом перехода на тонкий техпроцесс является удорожание оборудования. Новые промышленные агрегаты позволяют делать процессоры лучше и дешевле, но сами набирают в цене. Как следствие, лишь крупные корпорации могут вкладывать миллиарды долларов в новое оборудование. Даже такие известные компании, как AMD, Nvidia, Mediatek, Qualcomm или Apple самостоятельно процессоров не делают, доверяя это задание гигантам вроде TSMC.

Что дает уменьшение техпроцесса

При уменьшении технологического процесса производитель получает возможность поднять быстродействие, сохранив прежние размеры чипа. К примеру, переход с 32 нм на 22 нм позволил вдвое увеличить плотность транзисторов. Как следствие, на том же кристалле, что раньше, стало возможным размещение не 4, а уже 8 ядер процессора.

Для пользователей главное преимущество заключается в снижении энергопотребления. Чипы на более тонком техпроцессе требуют меньше энергии, выделяют меньше тепла. Благодаря этому можно упростить систему питания, уменьшить кулер, меньше внимания уделить обдуву компонентов.

Схематический прогноз изменения техпроцесса в будущем

Схематический прогноз изменения техпроцесса в будущем

Техпроцесс процессоров на смартфонах

Смартфоны требовательны к аппаратным ресурсам и быстро расходуют заряд аккумулятора. Поэтому, для замедления расхода разряда, разработчики процессоров для мобильных устройств стараются внедрять в производство самые новые техпроцессы. К примеру, некогда популярные двухъядерники MediaTek MT6577 производились по техпроцессу 40 нм, а Qualcomm Snapdragon 200 ранних серий изготавливались по 45-нанометровой технологии.

В 2013-2015 годах основным техпроцессом для чипов, используемых в смартфонах, стал 28 нм. MediaTek (вплоть до Helio X10 включительно), Qualcomm Snapdragon серий S4, 400, а также модели 600, 602, 610, 615, 616 и 617 – это все 28 нм. Он же использовался и при изготовлении Snapdragon 650, 652, 800, 801, 805. «Горячий» Snapdragon 810, что интересно, был выполнен по более тонкому техпроцессу 20 нм, но это ему не сильно помогло.

Apple в своем A7 (iPhone 5S) тоже обходилась 20-нанометровой технологией. В Apple A8 для шестого Айфона применили 20 нм, а в модели A9 (для 6s и SE) уже используется новый 16 нм технологический процесс. В 2013-2014 годах Intel делали свои Atom Z3xxx по 22-нанометровой технологии. С 2015 года в производство запустили чипы с 14 нм.

Следующим шагом в развитии процессоров для смартфонов является повсеместное освоение техпроцессов 14 и 16 нм, а дальше стоит ожидать 10 нм. Первыми экземплярами на нем могут стать Qualcomm Snapdragon 825, 828 и 830.

Популярный смартфон Xiaomi Redmi Note 9 Pro с высокой производительностью

Техпроцесс видеокарты что это такое и на что он влияет?

Опубликовано 1.10.2018 автор — 0 комментариев

Привет, друзья! Возможно, погружаясь в тематику компьютерного железа, вы встречали такое понятие как техпроцесс видеокарты, что это такое, на что влияет и какой из них лучший, расскажу в сегодняшней публикации. Все готово, поехали.)

Техпроцесс в видеокарте

Где там транзисторы

Любой процессор состоит из огромного количества микроскопических транзисторов – что ЦП, что графический чип. Однако транзисторы здесь не совсем привычные – например, не такие, как в радиоприемнике. Реализованы они на куске кремния, из которого состоит процессор.

Сегодня размеры этих компонентов измеряются уже в нанометрах – одной миллиардной части метра – например, 40 нм, 22 нм или 16 нм. Чем меньше цифра, тем тоньше техпроцесс и тем больше транзисторов умещается на той же площади кристалла.

Вообще, техпроцессом называется совокупность действий оборудования по изготовления какой-либо детали, в нашем случае микросхемы. Однако применительно к процессорам и графическим чипам такое обозначение – разрешение печатного оборудования, которое создает компоненты на поверхности кристалла.

Как узнать техпроцесс конкретной детали? Он всегда указан в сопроводительной документации.

Однако учитывайте, что во многих интернет-магазинах, в характеристиках товара этого параметра нет, поэтому при заказе комплектующих, необходимо уточнять детали у консультанта. Как вариант, можно узнать эту информацию на официальном сайте производителя.

Влияние техпроцесса

Технологии делаются все совершеннее, позволяя уменьшить техпроцесс, увеличив тем самым количество транзисторов на одной и той же площади. Что значит это в практическом плане?Видео чип на картеУвеличение количества транзисторов позволяет увеличить количество логических блоков и тем самым производительность процессора при тех же физических размерах. Как вариант, можно не изменять количество транзисторов, но уменьшить размеры компонента.

При уменьшении размеров транзисторов, снижается тепловыделение и энергопотребление. Благодаря этому, можно увеличить количество ядер процессора без риска перегрева, что негативно сказывается на производительности. Особенно это актуально для лэптопов и планшетов – да, в крутых моделях тоже установлены видеокарты, созданные по тому же принципу.

Переход на новый, более совершенный техпроцесс, требует от производителя железа проведения фундаментальных исследований, разработки нового оборудования, его создания и обкатки.

По этой причине новые модели центральных и графических процессоров стоят чрезвычайно дорого. Но за то, чтобы быть на гребне волны прогресса, никаких денег не жалко, не правда ли?

Также хочу акцентировать внимание на том, что обкатка нового техпроцесса происходит не сразу, и поэтому первые партии новых комплектующих могут получиться откровенно неудачными.

При увеличении площади кристалла, сложность только возрастает. Увы, лепить многоядерные процессоры по новой технологии вот так «с лету», не получится – никто не хочет работать себе в убыток и разбираться потом с возмущенными покупателями.

Дальнейшие перспективы

Некоторые из вас, вероятно, подумали, что развитие технологий – дело времени, и техпроцесс можно уменьшать до бесконечности. Увы, это не совсем верно. Физические свойства материи имеют определенные рамки, и со временем настанет тот предел, меньше которого создавать транзисторы, попросту не получится.Графический процессор на платеВот только каким будет их размер и когда это будет – пока не совсем понятно. Вполне вероятно, что к тому времени изобретут какую-нибудь принципиально иную технологию, а процессоры на основе кремниевого кристалла канут в Лету, как это случилось с ламповой электроникой.

Надеюсь, исходя из вышеизложенного, вам уже понятен ответ на вопрос: 14 нм или 28 нм – что лучше. Если я не вполне понятно излагал свои мысли, то лучше 14 нм, однако стоят, созданные по такому техпроцессу компоненты, дороже.

А вообще, чтобы разобраться, какой девайс вам лучше купить при сборке или апгрейде компа, советую ознакомиться с публикациями «Из чего состоит современная видеокарта для ПК» и «Правильный выбор видеокарты по параметрам для компьютера». О том, где лучше покупать комплектующие для системного блока, вы можете почитать здесь.

В качестве возможного варианта, советую обратить внимание на видеокарты серии 1060 – например, ASUS GeForce GTX 1060 DUAL OC [DUAL-GTX1060-O3G]. За приемлемую цену вы сможете с комфортом обрабатывать видеоролики и запускать новые игры (правда, некоторые из них не на максимальных, а на средних настройках качества графики). На ближайшие несколько лет такого девайса, вам хватит с головой, я это гарантирую.

На этом я с вами прощаюсь. Не забудьте поставить лайк репосту этой статьи в социальных сетях. Также подпишитесь на новостную рассылку, чтобы быть в курсе последних обновлений моего чрезвычайно полезного блога.

С уважением, Андрей Андреев

Что означают термины 7nm и 10nm для процессоров и почему они имеют значение

Что означают термины «7nm» и «10nm» для процессоров и почему они имеют значение

Постараюсь объяснить просто. Процессоры производятся с использованием миллиардов крошечных транзисторов, электрических затворов, которые включаются и выключаются для выполнения расчетов. Для этого им требуется энергия, и чем меньше транзистор, тем меньше требуется мощность. «7nm» и «10nm» — это размеры этих транзисторов, а «nm» — нанометры. Именно они являются полезными для оценки производительности конкретного процессора.

Для справки, «10nm» — это новый технологический процесс Intel, который должен дебютировать в 4 квартале 2019 года, а «7nm» обычно относится к процессу TSMC, на котором основаны новые процессоры AMD и чип A12X Apple.

Так почему же эти новые процессы так важны?

Закон Мура, старое наблюдение о том, что количество транзисторов на чипе удваивается каждый год, а затраты вдвое сокращаются, удерживался в течение длительного времени. Еще в конце 90-х и начале 2000-х годов транзисторы сокращались вдвое каждые два года, что приводило к их значительному улучшению. Но дальнейшее уменьшение стало более сложным, и, например, мы не наблюдали уменьшения транзистора от Intel с 2014 года. Так что эти новые технологические процессы являются первыми крупными сокращениями за долгое время, особенно со стороны Intel, и представляют собой краткое возрождение закона Мура.

С появлением новых процессоров AMD на 7-нм процессорах TSMC и чипов A12X Apple, у них появляется шанс обойти Intel по производительности и создать здоровую конкуренцию монополии этой компании на рынке. По крайней мере до тех пор, пока 10-нм чипы Intel «Sunny Cove» не начнут поступать в продажу.

Что «nm» на самом деле означает

Процессоры выполнены с помощью фотолитографии, где образ процессора вытравливается на куске кремния. Точная методика выполнения этой операции обычно называется технологическим процессом и измеряется тем, насколько малым может быть изготовление транзисторов.

Поскольку более компактные транзисторы более энергоэффективны, они могут выполнять больше вычислений без перегрева, что обычно является ограничивающим фактором для производительности процессора. Это также позволяет уменьшить размеры матрицы, что снижает затраты и может увеличить плотность при тех же размерах, а это означает увеличение количества ядер на чип.

Плотность 7 нм в два раза выше, чем у предыдущего 14 нм узла, что позволяет таким компаниям, как AMD, выпускать 64-ядерные серверные чипы, что значительно превосходит их предыдущие 32 ядра (и 28 ядра Intel).

Важно отметить, что, хотя Intel все еще находится на 14-нм процессоре, а AMD собирается запустить свои 7-нм процессоры очень скоро, это не означает, что AMD будут работать в два раза быстрее. Производительность не соответствует размеру транзистора, и в таких маленьких масштабах эти значения уже не столь точны.

Мобильные чипы претерпят наибольшие улучшения

Уменьшение транзисторов — это не только производительность; оно также имеет огромное значение для маломощных чипов мобильных устройств и ноутбуков. С 7 нм (по сравнению с 14 нм) вы можете получить на 25% больше производительности при той же мощности, или вы можете получить ту же производительность за половину мощности.

Это означает более длительное время работы от батареи при одинаковой производительности и гораздо более мощные чипы для небольших устройств. Мы уже видели, как чип A12X от Apple выигрывал некоторые старые чипы Intel в тестах, несмотря на то, что он был только пассивно охлажден и упакован внутри смартфона, И это только первый 7-нм чип, который появился на рынке.

Уменьшение узлов всегда является хорошей новостью, так как более быстрые и энергоэффективные чипы влияют практически на все аспекты технологического мира. 2019 год будет очень интересным для технических специалистов и, конечно, очень приятно видеть, что закон Мура еще не совсем мертв.


Спасибо, что читаете! Подписывайтесь на мой канал в Telegram и Яндекс.Дзен. Только там последние обновления блога и новости мира информационных технологий.

Также, читайте меня в социальных сетях: Facebook, Twitter, VKOK.

Респект за пост! Спасибо за работу!

Хотите больше постов? Узнавать новости технологий? Читать обзоры на гаджеты? Для всего этого, а также для продвижения сайта, покупки нового дизайна и оплаты хостинга, мне необходима помощь от вас, преданные и благодарные читатели. Подробнее о донатах читайте на специальной странице.

Есть возможность стать патроном, чтобы ежемесячно поддерживать блог донатом, или воспользоваться Яндекс.Деньгами, WebMoney, QIWI или PayPal:

Заранее спасибо! Все собранные средства будут пущены на развитие сайта. Поддержка проекта является подарком владельцу сайта.

Поделиться ссылкой:

Вам может быть полезно:

Техпроцесс в центральных и графических процессорах

Несмотря на то, что техпроцесс напрямую не влияет на производительность процессора, мы все равно будем упоминать его как характеристику процессора, так как именно техпроцесс влияет на увеличение производительности процессора, за счет конструктивных изменений. Хочу отметить, что техпроцесс, является общим понятием, как для центральных процессоров, так и для графических процессоров, которые используются в видеокартах.

Основным элементом в процессорах являются транзисторы – миллионы и миллиарды транзисторов. Из этого и вытекает принцип работы процессора. Транзистор, может, как пропускать, так и блокировать электрический ток, что дает возможность логическим схемам работать в двух состояниях – включения и выключения, то есть во всем хорошо известной двоичной системе (0 и 1).

Техпроцесс – это, по сути, размер транзисторов. А основа производительности процессора заключается именно в транзисторах. Соответственно, чем размер транзисторов меньше, тем их больше можно разместить на кристалле процессора.

Новые процессоры Intel выполнены по техпроцессу 22 нм. Нанометр (нм) – это 10 в -9 степени метра, что является одной миллиардной частью метра. Чтобы вы лучше смогли представить насколько это миниатюрные транзисторы, приведу один интересный научный факт: « На площади среза человеческого волоса, с помощью усилий современной техники, можно разместить 2000 транзисторных затворов!»

Если брать во внимание современные процессоры, то количество транзисторов, там уже давно перевалило за 1 млрд.

Ну а техпроцесс у первых моделей начинался совсем не с нанометров, а с более объёмных величин, но в прошлое мы возвращаться не будем.

Примеры техпроцессов графических и центральных процессоров

Сейчас мы рассмотрим парочку последних техпроцессов, которые использовали известные производители графических и центральных процессоров.

1. AMD (процессоры):

Техпроцесс 32 нм. К таковым можно отнести Trinity, Bulldozer, Llano. К примеру, у процессоров Bulldozer, число транзисторов составляет 1,2 млрд., при площади кристалла 315 мм2.

Техпроцесс 45 нм. К таковым можно отнести процессоры Phenom и Athlon. Здесь примером будет Phemom, с числом транзисторов 904 млн. и площадью кристалла 346 мм2.

2. Intel:

Техпроцесс 22 нм. По 22-нм нормам построены процессоры Ivy Bridge (Intel Core ix — 3xxx). К примеру Core i7 – 3770K, имеет на борту 1,4 млрд. транзисторов, с площадью кристалла 160 мм2, видим значительный рост плотности размещения.

Техпроцесс 32 нм. К таковым можно отнести процессоры Intel Sandy Bridge (Intel Core ix – 2xxx). Здесь же, размещено 1,16 млрд. на площади 216 мм2.

Здесь четко можно увидеть, что по данному показателю, Intel явно обгоняет своего основного конкурента.

3. AMD (ATI) (видеокарты):

Техпроцесс 28 нм. Видеокарта Radeon HD 7970

4. Nvidia:

Техпроцесс 28 нм. Geforce GTX 690

Вот мы и рассмотрели понятие техпроцесса в центральных и графических процессорах. На сегодняшний день разработчиками планируется покорить техпроцесс в 14 нм, а затем и 9, с применением других материалов и методов. И это далеко не предел!

Что такое 10 нм, 7 нм или 5 нм в смартфоне? Техпроцесс для «чайников»

Оценка этой статьи по мнению читателей:

Появление этой статьи на Deep-Review было лишь вопросом времени. Многие читатели задавали одни и те же вопросы, суть которых сводилась к следующему: что реально отражает эта цифра (12, 10, 7 или 5 нм) в технических характеристиках смартфонов, где в процессоре те самые 5 нанометров? Что вообще такое техпроцесс и какой процессор лучше выбрать?

Даже в современных печатных книгах сплошь и рядом встречается распространенное заблуждение, будто эти цифры означают размеры транзисторов, из которых состоит процессор.

В общем, пришло время разобраться с этим вопросом!

Сразу предупреждаю, что статья рассчитана на самый широкий круг читателей, то есть, при желании все сказанное смогут понять даже дети.

Но прежде, чем говорить о нанометрах и техпроцессе, нужно разобраться с транзистором. Без понимания этого устройства весь наш дальнейший разговор будет лишен смысла.

Что такое транзистор в процессоре смартфона? Как он работает и зачем вообще нужен?

Транзистор — это основа любого процессора, памяти и других микросхем. Он представляет собой крошечное устройство, способное работать в двух режимах: усиления или переключения электрического сигнала. Нас интересует именно режим переключателя.

Основа любой вычислительной техники — это единички и нолики. Просмотр видео на смартфоне, прослушивание музыки, дополненная реальность и нейронные сети — все это работает на «единичках и ноликах»:

  • Единица — есть ток
  • Ноль — нет тока

Именно для получения единиц и нулей мы используем транзисторы. Когда из этого миниатюрного устройства выходит ток, мы говорим, что это единица, когда нет никакого электрического сигнала — получаем ноль.

Соответственно, один транзистор — это совершенно бесполезная ерунда, которая не сможет сделать ничего. Даже, чтобы посчитать 2+2 нам нужны десятки транзисторов.

Итак, для создания транзистора мы берем немножко песка (условно какую-то часть одной песчинки) и делаем из него микроскопическую основу:

основа для транзистора процессора

Это будет наша кремниевая подложка (кремний получают именно из песка). Теперь нужно на эту основу нанести две области. Я думал, стоит ли погружаться в физику этого процесса и объяснять, как эти области делаются и что там происходит на уровне электронов, но решил не перегружать статью излишней информацией. Поэтому будем немножко абстрагироваться.

Итак, делаем две области: в одну ток подаем (вход в транзистор), а из другой — считываем (выход):

легирование кремниевого транзистора

Мы сделали эти области внутри кремниевой подложки таким образом, чтобы ток не смог пройти от входа к выходу. Он будет останавливаться самим кремнием (показан зеленым цветом). Чтобы ток смог пройти от входа к выходу по поверхности кремниевой подложки, нужно сверху разместить проводящий материал (скажем, металл) и хорошенько его изолировать:

создаем затвор на транзисторе

А теперь самое важное! Когда мы подадим напряжение на этот изолированный кусочек металла, размещенный над кремниевой подложкой, он создаст вокруг себя электрическое поле. Изоляция никак не будет влиять на действие этого электрического поля. И здесь происходит вся «магия»: слой кремния под действием этого электрического поля начинает проводить ток от входа к выходу! То есть, когда мы подаем напряжение, ток может легко протекать между двумя областями:

как транзистор контролирует подачу тока

Вот и все! Осталось дело за малым — подключить «провода» (электроды) ко входу, выходу и кусочку изолированного металла, с помощью которого мы и будем включать/выключать транзистор. Назовем их так:

  • Вход — Исток
  • Выход — Сток
  • Металл с изоляцией — Затвор

описание транзистора процессора смартфонаМОП-транзистор

Для закрепления материала немножко поиграемся с этим транзистором.

Итак, транзистор находится под напряжением, то есть, электричество подается на исток. Но на затворе тока нет, так как на наш транзистор не «пришла единица». Соответственно затвор «закрыл» транзистор и ток по нему пройти дальше не сможет, так что и на выходе из транзистора мы получаем ноль:

На входе транзистора подаем ток, на выходе - 0

Теперь ситуация изменилась и на затворе транзистора появилось напряжение, которое создало электрическое поле, позволившее току пройти через транзистор от истока к стоку. Как результат — транзистор выдал единицу (есть электрический сигнал):

транзистор выдает единицу

Вот так все просто! То есть, основное напряжение поступает на вход ко всем транзисторам, но будет ли каждый конкретный транзистор пропускать этот ток дальше — зависит от незначительного напряжения на затворе. Это напряжение может появляться, например, когда другой транзистор, подключенный к этому, отправил электрический импульс («единичку»).

Этого знания более, чем достаточно для того, чтобы ответить на все остальные вопросы, касательно нанометров и логики работы процессора.

О том, какие физические процессы стоят за таким нехитрым переключателем, то есть, что именно заставляет электроны проходить по кремнию, когда над ним появляется электрическое поле, я рассказывать не буду. Возможно, о легировании кремния фосфором и бором, p-n переходах и электрических полях мы поговорим как-нибудь в другой раз. А сейчас перейдем к основному вопросу.

Что такое техпроцесс или где же спрятаны эти «7 нанометров»?

Предположим, у нас есть современный смартфон, процессор которого выполнен по 7-нм техпроцессу. Что внутри такого процессора имеет размер 7 нанометров? Предлагаю вам выбрать правильный вариант ответа:

  • Длина транзистора
  • Ширина транзистора
  • Расстояние между двумя транзисторами
  • Длина затвора
  • Ширина затвора
  • Расстояние между затворами соседних транзисторов

Какой бы вариант вы ни выбрали, ваш ответ — неверный, так как ничего из перечисленного не имеет такого размера. Если бы этот же вопрос я задал лет 20 назад, правильным ответом была бы длина затвора (или длина канала, по которому протекает ток от стока к истоку):

длина канала транзистора

Стоп! Длина канала, ширина, площадь — да какая разница, что в чем измеряется!? Зачем вообще придумали эти названия техпроцессов, для чего они нужны простым людям? Что вообще должен показывать техпроцесс обычному покупателю? Зачем ему знать ту же длину затвора транзистора?

Давным давно один человек по имени Гордон Мур (основатель корпорации Intel) задумался о том, как быстро развиваются технологии. Под словом «развитие» он подразумевал рост количества транзисторов, помещающихся на одной и той же площади. Дело в том, что этот показатель напрямую влияет на скорость вычислений. Процессор, вмещающий всего 1 млн транзисторов будет работать гораздо медленней, чем тот, внутри которого находятся 10 млн транзисторов.

Более того, уменьшая размер транзистора, автоматически снижается его энергопотребление (ток, проходящий через транзистор пропорционален отношению его ширины к длине). Также уменьшается размер затвора и его емкость, позволяя ему переключаться еще быстрее. В общем, одни плюсы!

Так вот, этот человек наблюдал за историей развития вычислительной техники и заметил, что количество транзисторов на кристалле удваивается примерно каждые 2 года. Соответственно, размеры транзисторов уменьшаются на корень из двух раз.

Другими словами, нужно умножать каждую сторону квадратного транзистора на 0.7, чтобы его площадь уменьшилась вдвое:

линейные размеры транзисторов

Это наблюдение получило название «закон Мура» и так родилась маркировка техпроцесса: каждые два года эту цифру умножали на 0.7. Например, при переходе от 1000-нм техпроцесса к 700-нм, количество транзисторов на чипе возросло в 2 раза. Примерно то же можно сказать и обо всех современных процессорах: 14 нм -> 10 нм -> 7 нм -> 5 нм. Каждое последующее поколение просто умножаем на 0.7, предполагая, что количество транзисторов там увеличивалось вдвое.

Повторюсь, до определенного момента эта цифра означала длину канала (или длину затвора), так как эти элементы уменьшались пропорционально размеру транзистора.

Но затем удалось сокращать длину затвора быстрее, чем другие части транзистора. С тех пор связывать размер затвора с техпроцессом стало не совсем корректно, так как это уже не отражало реального увеличения плотности размещения транзисторов на кристалле.

Например, в 250-нм техпроцессе длина затвора составляла 190 нанометров, но транзисторы не были упакованы настолько плотно по сравнению с предыдущим техпроцессом, чтобы называть его 190-нанометровым (по размеру затвора). Это не отражало бы реальную плотность.

Затем длина канала и вовсе перестала уменьшаться каждые два года, так как появилась новая проблема. При дальнейшем уменьшении длины канала, электроны могли обходить узкий затвор, так как блокирующий эффект был недостаточно сильным. Более того, такие утечки возникали постоянно, вызывая повышенное энергопотребление и нагрев транзистора (и, как следствие, всего процессора).

В общем, техпроцесс отвязали от длины затвора и взяли просто группу из нескольких транзисторов (так называемую ячейку) и площадь этой ячейки использовали для названия техпроцесса.

К примеру, в 100-нм техпроцессе ячейка из 6 транзисторов занимала, скажем, 100 000 нанометров (это условная цифра из головы). Компания упорно работала над уменьшением размеров транзисторов или увеличением плотности их размещения и через пару лет добилась того, что в новом процессоре эта же ячейка занимает уже 50 000 нм.

Не важно, уменьшился ли размер транзисторов или просто удалось упаковать их более плотно (за счет сокращения слоя металла и других ухищрений), можно смело говорить, что количество транзисторов на кристалле выросло в два раза. А значит мы умножаем предыдущий техпроцесс (100 нм) на 0.7 и получаем новенький процессор, выполненный по 70-нм техпроцессу.

Однако, когда мы дошли до 22-нанометрового техпроцесса, уменьшать длину затвора уже было нереально, так как электроны проходили бы сквозь этот затвор и транзисторы постоянно бы пропускали ток.

Решение оказалось простым и гениальным — нужно взять канал, по которому проходит ток и поднять его вверх, над кремниевой основной, чтобы он полностью проходил через затвор:

пример fitFET транзистора

Теперь всё пространство, по которому идет ток, управляется затвором, так как полностью им окружено. А раньше, как мы видим, этот затвор находился сверху над каналом и создавал сравнительно слабый блокирующий эффект.

С новой технологией, получившей название FinFET, можно было продолжать уменьшать длину затвора и размещать еще больше транзисторов, так как они стали более узкими (сравните на картинке ширину канала). Но говорить о размерах транзистора стало вообще бессмысленно. Не совсем понятно даже, как эти размеры теперь высчитывать, когда транзистор из плоского превратился в трехмерный.

Таким образом, техпроцесс полностью «оторвался» от каких-либо реальных величин и просто условно обозначает увеличение плотности транзисторов относительно предыдущего техпроцесса.

К примеру, длина канала в 14-нм процессоре от Intel составляет 24 нанометра, а у Samsung — 30 нанометров. Отличаются и другие метрики этих процессоров, сделанных, казалось бы, по одинаковому техпроцессу. Более того, длина затвора — не самая миниатюрная часть транзистора. В том же 14-нм процессоре ширина канала вообще состоит из нескольких атомов и составляет 8 нанометров! То есть, техпроцесс — это даже не описание самой маленькой части транзистора.

Другими словами, нанометровый техпроцесс не описывает размеры транзисторов. Сегодня это условная цифра, означающая плотность размещения транзисторов или увеличение количества транзисторов относительно предыдущего техпроцесса (что напрямую влияет на быстродействие процессора).

В любом случае, важно запомнить простое правило и пользоваться им при анализе характеристик смартфона:

Разница техпроцесса в 0.7 раз означает двукратное увеличение количества транзисторов

Для примера можем посмотреть на последние чипы от Apple. В 10-нм процессоре Apple A11 Bionic содержится 4.3 млрд транзисторов, а в 7-нм Apple A13 Bionic — 8.5 млрд транзисторов. То есть, видим, что техпроцесс отличается в 0.7 раз, а количество транзисторов — в 2 раза. Соответственно, 7-нм процессор гораздо производительней 10-нанометрового.

Продолжая аналогию, в 5-нм процессоре должно вмещаться в 2 раза больше транзисторов, чем в 7-нанометровом! Если вас не очень удивляет этот факт, обязательно почитайте на досуге мою заметку об экспоненциальном развитии технологий.

Итак, когда вы будете смотреть на два смартфона с 14-нм и 10-нм процессорами, то знайте что в последнем гораздо больше транзисторов, соответственно, его вычислительная мощность заметно выше. Так и следует пользоваться «техпроцессом» при выборе смартфона.

Алексей, глав. редактор Deep-Review

 

P.S. Мы открыли Telegram-канал и сейчас готовим для публикации очень интересные материалы! Подписывайтесь в Telegram на первый научно-популярный сайт о смартфонах и технологиях, чтобы ничего не пропустить!

 

Понравилась статья? Поделитесь с другими:

Как бы вы оценили эту статью?

Нажмите на звездочку для оценки

Оценить!

Внизу страницы есть комментарии…

Напишите свое мнение там, чтобы его увидели все читатели!

Если Вы хотите только поставить оценку, укажите, что именно не так?

Отправить

Большое спасибо за отзыв!

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет

  • Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года
  • В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные
  • Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 года
  • Все инженерные ресурсы будут переброшены с 14-нм техпроцесса на 7-нм, а 10-нм техпроцессом будут заниматься другие специалисты

Утечки из «дорожной карты» Dell позволили получить некоторое представление о планах Intel по выпуску новых процессоров, и в настольном сегменте 14-нм продукты должны фигурировать ещё очень долго, если опираться на этот источник информации. Однако мероприятие Intel для инвесторов на этой неделе могло пролить свет истины на ситуацию с выпуском 10-нм и 7-нм продуктов, и всё было бы хорошо, если бы не удручающее молчание представителей компании по поводу сроков выхода именно новых настольных процессоров.

Первоначальный план Intel по освоению 10-нм технологии пришлось корректировать

Не секрет, что шесть лет назад корпорация Intel была уверена в своей способности освоить серийный выпуск 10-нм процессоров в 2016 году. Как уже не раз поясняли руководители Intel, успевшие за это время смениться, были выбраны слишком агрессивные целевые показатели по геометрическому масштабированию транзисторов при планировании перехода на 10-нм техпроцесс, и освоить выпуск 10-нм продуктов в указанные сроки не удалось.

В прошлом году начались поставки 10-нм мобильных процессоров Cannon Lake, но они подходили только для применения в сверхтонких мобильных устройствах, имели не более двух ядер, а расположенную на кристалле графическую подсистему вообще пришлось отключить. Собственно, и объёмы поставок Cannon Lake не были значимыми, поэтому в качестве начала периода освоения 10-нм техпроцесса Intel теперь указывает 2019 год. Мобильные 10-нм процессоры Ice Lake будут представлены в июне этого года, тогда же начнутся их поставки производителям ноутбуков, а те уже выкатят готовые компьютеры на их основе во втором полугодии.

Только по официальной версии 14-нм техпроцесс Intel в своём эволюционном развитии сменил три поколения, а более мелких улучшений было ещё больше. Intel с гордостью заявляет, что удельная производительность в пересчёте на ватт потребляемой электроэнергии улучшилась при переходе от первого поколения 14-нм техпроцесса к третьему на 20 %.

Более того, если взглянуть на свежие презентации Intel с майского мероприятия для инвесторов, то можно обнаружить, что жизненный цикл 14-нм техпроцесса продлён до 2021 года включительно. К тому моменту уже начнётся серийный выпуск первых 7-нм продуктов, а 14-нм техпроцесс будет по-прежнему актуален для определённого ассортимента продуктов Intel.

Никаких упоминаний о переводе настольных процессоров на 7-нм технологию не прозвучало

Даже утечка о планах Intel из презентации Dell информации о сроках выхода 10-нм процессоров для настольного применения не содержала. В этом контексте фигурировали преимущественно мобильные процессоры со сверхнизким энергопотреблением, чьё количество ядер не превышало четырёх штук. Даже в этом случае широкое распространение они получат не ранее 2021 года. К тому времени уже выйдут 10-нм процессоры Tiger Lake, которые предложат поддержку PCI Express 4.0 и будут производиться уже по второму поколению 10-нм технологии. Процессорам Tiger Lake достанется и новая графика с 96 исполнительными ядрами, использующая общую архитектуру с дискретными продуктами, анонсируемыми в 2020 году.

До конца 2019 года успеют выйти и 10-нм процессоры Lakefield со сложной пространственной компоновкой Foveros, подразумевающей интеграцию в одной упаковке и системной логики, и оперативной памяти. Даже «предположительно настольный» первый дискретный графический процессор Intel за последние двадцать лет будет выпущен в 2020 году по 10-нм технологии, а вот настольные процессоры в контексте перехода на 10-нм технологию при этом никак на мероприятии для инвесторов не упоминались.

В серверном сегменте тоже достаточно определённости. Прежде чем в первой половине следующего года выйдут 10-нм процессоры Ice Lake-SP, будут выпущены 14-нм процессоры Cooper Lake, совместимые с ними конструктивно. По какой технологии будут выпускаться преемники Ice Lake-SP в лице Sapphire Rapids, представители Intel не уточняют, но Навин Шеной (Navin Shenoy) во время сессии вопросов и ответов с аналитиками признался, что вторым выпускаемым по 7-нм технологии продуктом после GPU для ускорителей вычислений будет центральный процессор для серверов. Если учесть, что 7-нм первенец выйдет в 2021 году, то для дебюта центрального 7-нм процессора серверного класса в равной степени подходит как 2021 год, так и более поздние периоды. Sapphire Rapids должен дебютировать в 2021 году, его преемник появится в 2022 году.

Таким образом, при описании своих текущих планов миграции на 7-нм техпроцесс Intel чётко упоминает графические и центральные процессоры для серверного применения, но оставляет за кадром настольные и мобильные.

Штурм 7-нм технологии: призрачная надежда для настольных продуктов

Исполнительный директор Intel Роберт Свон (Robert Swan) сделал несколько важных заявлений, касающихся освоения 7-нм техпроцесса. Во-первых, он заявил, что после 2021 года этот техпроцесс позволит компании снизить уровень операционных затрат. Эта уверенность основана на том, что сейчас компании приходится развивать три технологических процесса параллельно: 14 нм, 10 нм и 7 нм. Попытки наверстать упущенное с 10-нм техпроцессом увеличивают уровень затрат, а когда с 7-нм технологией будет всё налажено, компания надеется вернуть контроль над расходами в соответствии с профильным планом на несколько лет.

Во-вторых, Свон заявил, что на освоение 7-нм технологии будут брошены все инженерные кадры, которые были задействованы при создании 14-нм продуктов Intel. Среди последних мы знаем немало настольных процессоров с большим количеством ядер и высоким уровнем производительности. Значит ли это, что данная команда специалистов преуспеет в создании настольных 7-нм процессоров? Ответ на этот вопрос наверняка придётся искать за пределами текущего десятилетия.

В-третьих, глава Intel пояснил, что массовое производство продуктов Intel по 7-нм технологии будет развёрнуто лишь в 2022 году, уже после появления первого дискретного графического процессора, выпущенного годом ранее по 7-нм технологии с использованием сверхжёсткой ультрафиолетовой литографии. Будут ли это настольные или мобильные процессоры, сейчас тоже с уверенностью сказать сложно, ибо даже в последовательности перевода продуктов на новые техпроцессы у Intel изменились приоритеты.

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.

5 нм и 3 нм / Хабр

На горизонте появляются новые транзисторные структуры, новые инструменты и процессы – а с ними и куча проблем

Несколько фабрик пытаются вывести на рынок техпроцессы на 5 нм, однако их клиентам предстоит решить – проектировать новые чипы на текущих транзисторах, или перейти на новые, созданные в техпроцессе 3 нм.

Для перехода нужно либо расширить текущие finFET на 3 нм, либо реализовать новую технологию кольцевого затвора [gate-all-around FET, GAA FET] на 3 нм или 2 нм. GAA FET – это следующий этап эволюции по сравнению с finFET, они быстрее работают, однако эти новые транзисторы сложнее и дороже в производстве, и переход на них может оказаться слишком болезненным. С другой стороны, индустрия разрабатывает новые технологии травления, структурирования и т.д., чтобы расчистить дорогу к этим новым техпроцессам.

Даты выпуска этих GAA FET разнятся от фабрики к фабрике. Samsung и TSMC делают finFET на 7 нм, и в этом году планируют переделать finFET на 5 нм, а также выпускать чипы в диапазоне полушага от 5 нм. Такие техпроцессы позволят улучшить как скорость работы, так и энергопотребление.


Что касается 3 нм, то тут Samsung через год-два планирует перепрыгнуть на нанолистовые FET – новый тип GAA-транзистора. TSMC же планирует впервые выпустить finFET на 3 нм. Следующим этапом TSMC выпустит GAA на 3 нм или 2 нм – так считают многие аналитики и поставщики оборудования.

«TSMC ускоряет разработку finFET на 3 нм, представляющих собой ужатые версии 5 нм, — Сказал Хэндел Джонс, директор IBS. – Производство первых пробных экземпляров finFET на 3 нм TSMC начнёт в 2020-м. Промышленные выпуски продукции запланированы на 3-й квартал 2021 года, что на квартал раньше запуска техпроцесса на 3 нм от Samsung. Разработка GAA в TSMC отстаёт от Samsung на 12-18 месяцев, но агрессивная стратегия по выпуску finFET на 3 нм может скомпенсировать это отставание».

TSMC продолжает оценивать свои варианты на 3 нм, и планы ещё могут поменяться. Пока компания не комментирует ситуацию, но обещает вскоре раскрыть свои планы на 3 нм. Тем не менее, переход TSMC на 3 нм finFET – логичный шаг. Переход к новым транзисторам может неблагоприятно повлиять на клиентов. Но в конечном итоге finFET исчерпает свои возможности, поэтому у TSMC нет другого выбора, кроме как переходить на GAA.

Другие компании тоже разрабатывают передовые процессы. Intel, эпизодически участвующая в коммерческом производстве, выпускает чипы на 10 нм, изучая 7 нм в лаборатории. Тем временем SMIC делает finFET на 16 нм/12 нм, исследуя при этом в лаборатории 10 нм/7 нм.

Все передовые процессы требуют значительных финансов, и не все чипы требуют использования 3 нм или других передовых технологий. Рост цен заставляет компании исследовать другие варианты развития. Ещё один способ получить преимущества масштабирования – новые виды корпусов передовых чипов. Несколько компаний разрабатывают такие корпуса.

Рабочее напряжение различных технологий

Пришёл ли конец масштабированию?

Чипы состоят из транзисторов, контактов и их соединений. Транзисторы играют роль переключателей. Передовые чипы могут содержать до 35 млрд транзисторов.

Соединения, находящиеся на верхней части транзистора, состоят из крохотных медных проводков, проводящих электрические сигналы между транзисторами. Транзисторы и проводки соединяются промежуточным слоем middle-of-line (MOL). MOL состоит из крохотных контактов.

Масштабирование интегральных схем (ИС), их традиционный способ развития, заключается в уменьшении размеров ИС с каждым новым техпроцессом и с упаковкой их на монолитном кристалле.

Для этого производители чипов каждые 18-24 месяца представляют новый технологический процесс, обеспечивающий всё большую плотность упаковки транзисторов. Каждому процессу даётся числовое название. Изначально эти названия были связаны с длиной вентиля транзистора.

Для каждого следующего процесса производители масштабируют спецификации транзисторов в 0,7 раз, что позволяет индустрии увеличивать быстродействие на 40% при том же энергопотреблении и уменьшать размер на 50%. Масштабирование чипов позволяет выпускать новые, более функциональные электронные продукты.

Формула работала, и производители чипов постепенно меняли техпроцессы. Но на рубеже 20 нм произошло изменение – традиционные плоские транзисторы выбрали весь свой ресурс. С 2011 года производители перешли на finFET, что позволило масштабировать устройства далее.

Однако finFET дороже в производстве. В результате стоимость исследований и разработок взлетела до небес. Поэтому периоды перехода от одного техпроцесса к другому увеличились с 18 до 30 и более месяцев.

Компания Intel следовала общей тенденции масштабирования в 0,7 раз. Однако начиная с 16 нм/14 нм другие производители отошли этот этой формулы, что внесло некоторую неразбериху.

В этот момент нумерация техпроцессов начала размываться и потеряла связь со спецификациями транзисторов. Сегодня эти названия– всего лишь маркетинговые термины. «Обозначение техпроцессов становится всё менее осмысленным и понятным, — сказал Сэмюэл Вон, аналитик в Gartner. – К примеру, на 5 нм или 3 нм нет ни одного геометрического размера, равного 5 или 3 нм. Кроме того, у разных производителей процессы становятся всё более разными. Для одного и того же техпроцесса эффективность чипов отличается у TSMC, Samsung и, конечно же, Intel.

Масштабирование замедляется и у передовых техпроцессов. Для процесса на 7 нм шаг затвора транзистора [contacted poly pitch, CPP] равняется 56-57 нм с металлическим шагом в 40 нм, согласно информации компаний IC Knowledge и TEL. Для 5 нм CPP примерно равен 45-50 нм с металлическим шагом 26 нм. CPP, ключевая метрика транзисторов, обозначает расстояние между контактами истока и стока.

Кроме того, соотношение стоимости и быстродействия выглядит уже совсем не так, из-за чего многие считают, что закон Мура уже изжил себя.

»Закон Мура на самом деле лишь наблюдение, ставшее самоисполняющимся пророчеством, поддерживающим движение полупроводниковой промышленности вперёд. Экономический аспект закона Мура начал ухудшаться с ростом стоимости многократного структурирования [multiple patterning] и экстремальной ультрафиолетовой литографии (EUV), — сказал Даглас Гуереро, главный технолог из Brewer Science. – Новые архитектуры и дизайны обеспечат прирост вычислительной мощности, но это будет уже не масштабирование. Это означает, что в будущем чипы увеличат вычислительную мощность, но их стоимость не обязательно будет уменьшаться с той же скоростью, что и ранее».

Масштабирование не то, чтобы совсем прекращается. ИИ, сервера и смартфоны требуют всё более быстрых чипов и продвинутых техпроцессов. «Лет десять назад некоторые люди спрашивали: Да кому нужно ещё больше транзисторов? Некоторые считали, что в мире уже не осталось идей по поводу того, что делать с более быстрыми компьютерами, кроме совсем экзотических применений, — сказал Аки Фуджимура, директор D2S. – Сегодня для интернета вещей меньшая стоимость, достаточно хорошее быстродействие и возможности по интеграции выигрывают у простого увеличения плотности. Однако для изготовления более быстрых и экономных чипов, у которых стоимость транзисторов будет падать, требуются более быстрые транзисторы».

Очевидно, не для всего нужны передовые техпроцессы. На чипы, производимые по хорошо отлаженным техпроцессам, Есть высокий спрос. «Сюда входят ИС для работы с радиоволнами и OLED-дисплеями в смартфонах, а также ИС для управления питанием, которые используются в компьютерах и твердотельных накопителях», — сказал Джейсон Вон, один из президентов UMC.

Масштабирование finFET

В вопросе масштабирования чипов производители годами шли по одной и той же схеме, с идентичными типами транзисторов. В 2011 году Intel перешла на finFET на 22 нм, а затем на 16 нм/14 нм.

В finFET управление током осуществляется размещением вентилей на всех трёх сторонах плавника. У finFET бывает от 2 до 4 плавников. У каждого – своя определённая ширина, высота и форма.

У finFET первого поколения от Intel на 22 нм шаг плавника составлял 60 нм, а высота – 34 нм. Затем, на 14 нм шаг и высота были одинаковыми, 42 нм.

Intel сделала плавники выше и тоньше, чтобы масштабировать finFET. «Масштабирование finFET уменьшает поперечные размеры устройства, увеличивая плотность по площади, а увеличение высоты плавника улучшает быстродействие», — написала у себя в блоге Нерисса Дрэгер, директор по связи с университетами в компании Lam Research.

На техпроцессах 10 нм/7 нм изготовители чипов пошли по тому же пути масштабирования finFET. В 2018 году TSMC начала производство первых finFET на 7 нм, за ней последовала Samsung. Intel в прошлом году после нескольких задержек начала производство на 10 нм.

В 2020 году конкуренция фабрик увеличится. Samsung и TSMC подготавливают 5 нм и различные полуцелые техпроцессы. Ведутся исследования касательно 3 нм.

Все процессы стоят дорого. Стоимость проектирования чипа на 3 нм составляет $650 млн – сравните это с $436,3 млн для устройства на 5 нм и $222,3 млн для устройства на 7 нм. Это стоимость такой разработки, после которой через год технология уже уходит в производство.

По сравнению с 7 нм, finFET на 5 нм от Samsung дадут 25% увеличение логической площади, и 20% уменьшение потребления энергии или 10% увеличение скорости.

Для сравнения, finFET на 5 мм от TSMC предлагает «скорость на 15% больше при том же энергопотреблении или уменьшение энергопотребления на 30% при той же скорости, с увеличением логической плотности в 1,84 раза», — сказал Джоффри Йеп, главный директор по передовым технологиям в TSMC.

В техпроцессах на 7 нм и 5 нм производители чипов провели серьёзные изменения. Для изготовления критически важных функций в чипах, две компании перешли от традиционной литографии на 193 нм к экстремальной ультрафиолетовой литографии (EUV). EUV использует длины волн в 13,5 нм, что упрощает процесс.

Но EUV не решает всех проблем масштабирования чипов. «Решение этих задач требует множества технологий, не ограничивающихся масштабированием, включая использование новых материалов, новых типов встроенной энергонезависимой памяти и архитектур передовой логики, новых подходов к травлению, инноваций в производстве корпусов и дизайна чиплетов», — сказала Реджина Фрид, управляющий технологический директор в Applied Materials.

Тем временем, за кулисами Samsung и TSMC подготавливают свои варианты техпроцессов на 3 нм. В прошлом производители чипов шли по одному пути, но сегодня их пути расходятся.

«3 нм бывает в различных вариантах, таких, как finFET и GAA, — сказал Вон. – Это даёт возможность клиентам выбирать различные сочетания стоимости, плотности, энергопотребления и скорости, так, чтобы удовлетворить свои запросы».

Samsung обещает представить нанолистовую FET на 3 нм. TSMC тоже работает над ними, но планирует продлить использование finFET на следующее поколение. «У TSMC будет finFET на 3 нм в третьем квартале 2021 года, — сказал Джонс. – GAA от TSMC появятся в 2022-2023 годах».

Вот тут клиенты фабрик должны взвесить все за и против, касающиеся стоимости и технических компромиссов. Расширение finFET – безопасный путь. «Многие клиенты считают TSMC наименее рискованным производителем», — сказал Джонс.

Тем не менее, GAA обеспечивает некоторое увеличение быстродействия. «У GAA на 3 нм меньше пороговое напряжение, и потенциально на 15-20% меньше энергопотребление, по сравнению с finFET на 3 нм, — сказал Джонс. – Однако разница в быстродействии будет на уровне 8%, поскольку MOL и BEOL одинаковые».

Backend-of-the-line (BEOL) и MOL – узкие места в передовых чипах. Проблема MOL заключается в сопротивлении контактов.

BEOL – это этап производства, на котором происходит подсоединение проводков. Из-за их постепенного уменьшения возникают задержки, связанные с ёмкостным сопротивлением. В finFET и GAA используются разные транзисторы, но схемы их соединения в техпроцессе 3 нм, скорее всего, будут почти одинаковыми. Задержки, связанные с ёмкостным сопротивлением, будут вредить обоим типам транзисторов.

Есть и другие проблем. finFET исчерпают свои возможности, когда ширина плавника достигнет 5 нм. finFET на техпроцессах 5 нм/3 нм уже упираются в этот предел.

Кроме того, finFET на 3 нм могут состоять из одного плавника, по сравнению с двумя или большим числом плавников в других техпроцессах. «Чтобы продлить работу finFET на 3 нм, нам понадобятся особые технологии, увеличивающие мощность единственного плавника и уменьшающие паразитные явления», — сказал Наото Хоригучи, директор по КМОП в Imec.

Один из способов продлить работу finFET на 3 нм – перейти на германий для p-канала. finFET на 3 нм с каналами высокой пропускной способности помогут увеличить скорость работы чипов, однако столкнутся с определёнными проблемами с интеграцией.

Переход на нанолисты

В конечном счёте finFET перестанут масштабироваться, и производителям чипов придётся перейти на новые транзисторы, а именно на нанолистовые FET.

Нанолистовые FET начали набирать обороты в 2017-м, когда Samsung представила Multi Bridge Channel FET (MBCFET) на 3 нм. MBCFET – это нанолистовые FET. Пробные образцы начнут производить уже в этом году, а промышленный выпуск начнётся в 2022-м.

TSMC тоже работает с нанолистовыми FET, представляющими собой один из типов GAA-транзисторов. Нанолистовые FET обеспечивают небольшое преимущество для масштабирования finFET на 5 нм, но у них есть несколько преимуществ.

Нанолистовой FET – это, по сути, finFET, положенный набок, и обёрнутый затворами. Нанолист состоит из нескольких отдельных тонких горизонтальных листов, положенных друг на друга. Каждый лист – это отдельный канал.

Вокруг каждого листа находится затвор, и в результате получается кольцевой транзистор. Теоретически, нанолистовые FET дают большее быстродействие при меньших утечках, поскольку управление током осуществляется с четырёх сторон структуры.

Изначально в нанолистовых FET будет примерно по четыре листа. «Ширина типичного нанолиста составляет от 12 до 16 нм, а толщина – 5 нм», — сказал Хоригучи.

Этим нанолист отличается от finFET. Количество плавников у finFET ограничено, что стесняет работу дизайнеров. «Преимущество нанолиста в том, что у него можно менять ширину. Ширину можно подбирать по запросам дизайнера. Это даёт им некоторую свободу. Они могут обнаружить лучший вариант по соотношению энергопотребления и скорости», — сказал Хоригучи.

К примеру, у транзистора с более широким листом будет больше и ток возбуждения. Узкий лист позволяет сделать устройство меньше размером с меньшим током возбуждения.

Нанолисты связаны с технологией нанопроводов, в которой каналами служат провода. Ограничение ширины канала приводит к ограничению тока возбуждения.

Поэтому нанолистовые FET и набирают обороты. Однако у этой технологии и у finFET на 3 нм есть несколько проблем. «Проблемы finFET связаны с квантовым управлением ширины плавника и профиля плавника. Проблемы нанолистов связаны с дисбалансом p/n, эффективностью нижнего листа, прослоек между листами, управлением длиной вентиля», — сказал Джин Кай, заместитель директора TSMC, во время презентации на IEDM.

Учитывая все эти сложности, на ввод технологии нанолистовых FET уйдёт какое-то время. «У перехода на новые архитектуры транзисторов есть много препятствий, — сказал Герреро. – Определённо для этого потребуются новые материалы».

В простейшем варианте процесса изготовление нанолистового FET начинается с формирования сверхрешётки на подложке. Эпитаксиальный инструмент располагает на подложке перемежающиеся слои кремний-германиевого сплава (SiGe) и кремния. Стопка будет состоять как минимум из трёх слоёв SiGe и трёх слоёв кремния.

Затем в сверхрешётке при помощи структурирования и травления формируются вертикальные плавники, для чего требуется очень точное управление процессом.

Потом начинается один из самых сложных этапов – формирование внутренних прокладок. Во-первых, внешние части слоёв SiGe утапливаются в сверхрешётке заподлицо. Это создаёт небольшие углубления, заполняемые диэлектриком. «Внутренние прокладки нужны для уменьшения ёмкости вентиля, — сказал Кай. – Их изготовление – важнейшая часть процесса».

И такие технологии уже есть — IBM и TEL недавно описали новую технику травления, подходящую как для внутренних прокладок, так и для выпуска каналов. Для этого используется изотропное сухое травление SiGe с соотношением 150:1.

Такая технология позволяет получать очень точные внутренние прокладки. «Изготовление выемок в SiGe требует очень выборочного бокового „слепого“ травления слоёв», — сказал Николас Лубе, менеджер по R&D в IBM.

Затем формируются исток и сток. После этого слои SiGe удаляются из сверхрешётки при помощи травления. Остаются кремниевые слои, или листы, составляющие каналы.

В структуру помещаются High-k-материалы, и наконец, формируются соединения MOL, что и даёт нанолист.

Это упрощённое описание этого сложного процесса. Тем не менее, как и любые новые технологии, нанолисты могут быть подвержены появлению дефектов. Требуется дополнительное изучение и измерение всех шагов.

«Как и с предыдущими переходами между технологиями, мы видим проблемы, связанные с изучением и измерением нанолистов», — сказал Чет Ленокс, директор по решениям управлением процессами в KLA. «Может появиться много дефектных режимов как во внутренних прокладках, так и в нанолистах. Производителям ИС необходимы точные размеры отдельных нанолистов, а не просто средняя величина каждой стопки, чтобы уменьшить изменчивость своих производственных процессов».

Для этого тоже требуются новые технологии. К примеру, Imec и Applied Materials недавно представили работу по технологии «scalpel scanning spreading resistance microscopy» (s-SSRM) для создания кольцевых затворов. В технологии s-SSRM крохотный скальпель откалывает небольшую часть структуры, и в этот разрез можно добавлять легирующие примеси.

Другие варианты


В рамках R&D Imec разрабатывает более передовые виды GAA, такие, как CFET и вилочно-листовые FET (forksheet FET), нацеленные на 2 нм и меньше.

К тому времени для большинства производителей масштабирование ИС станет слишком дорогим, в особенности в свете уменьшения преимуществ по энергопотреблению и быстродействию. Поэтому всё большую популярность набирают передовые компоновки чипов. Вместо того, чтобы запихивать все функции на один кристалл, предполагается разбивать устройства на более мелкие кристаллы и интегрировать их в передовые корпуса.

«Это всё зависит от области применения, — сказал Рич Райс, старший вице-президент по бизнес-развитию ASE. – Мы определённо наблюдаем увеличение подобных попыток, даже в техпроцессах, ушедших глубоко в субмикронные размеры. Это развитие будет продолжаться и далее. Многие компании занимаются этим. Они решают, могут ли они интегрировать чипы на 5 нм, и хотят ли. Они активно ищут способы разбивания систем».

Это не так-то легко сделать. Плюс существует несколько вариантов корпусов с различными компромиссами — 2.5D, 3D-ICs, чиплеты и fan-out.

Заключение

Определённо не всем потребуются такие передовые техпроцессы. Однако Apple, HiSilicon, Intel, Samsung и Qualcomm не зря рассчитывают на передовые технологии.

Потребителям нужны самые новые и лучшие системы с увеличенным быстродействием. Вопрос лишь в том, дадут ли новые технологии какие-либо реальные преимущества по приемлемой цене.

Процесс литографии 28 нм — WikiChip

Полупроводники и вычислительная техника